Part Number Hot Search : 
LS402 SR6ZC524 33001 STU6033 LA4166M CM200 S1005 M1201
Product Description
Full Text Search
 

To Download CY7B923-400JXCT Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  cy7b923, cy7b933 hotlink ?? transmitter/receiver cypress semiconductor corporation ? 198 champion court ? san jose , ca 95134-1709 ? 408-943-2600 document #: 38-02017 rev. *h revised october 14, 2010 features fibre channel-compliant ibm escon ? -compliant dvb-asi-compliant atm-compliant 8b/10b-coded or 10-bit unencoded standard hotlink ? : 160 to 330 mbps high-speed hotlink: 160 to 400 mbps for high-speed applications transistor-transistor logi c (ttl)-synchronous i/o no external phase locked-loop (pll) components triple positive emitter coupled logic (pecl) 100 k serial outputs dual pecl 100 k serial inputs low-power: 350 mw (tx), 650 mw (rx) compatible with fiber-optic module s, coaxial cable, and twisted pair media built-in self-test (bist) single +5-v supply 28-pin small outline integrated circuit (soic)/plastic leaded chip carrier (plcc)/leadl ess chip carrier (lcc) pb-free packages available 0.8- ? bipolar complementary metal oxide semiconductor (bicmos) functional description the cy7b923 hotlink ? transmitter and cy7b933 hotlink receiver are point-to-point comm unications building blocks that transfer data over high-speed serial links (fiber, coax, and twisted pair). standard hotlink data rates range from 160 to 330 mbps. higher speed hotlink is also available for high-speed applica- tions (160 to 400 mbits/second). figure 1 illustrates typical connections to host systems or controllers. eight bits of user data or protocol information are loaded into the hotlink transmitter and are encoded. serial data is shifted out of the three differential pecl serial ports at the bit rate (which is ten times the byte rate). the hotlink receiver accepts the serial bit stream at its differ- ential line receiver inputs and, using a completely integrated pll clock synchronizer, recovers t he timing information necessary for data reconstruction. the bit stream is deserialized, decoded, and checked for transmission errors. recovered bytes are presented in parallel to the receiving host along with a byte-rate clock. the 8b/10b encoder/decoder can be disabled in systems that already encode or scramble the tr ansmitted data. i/o signals are available to create a seamless in terface with both asynchronous fifos (that is, cy7c42x) a nd clocked fifos (that is, cy7c44x). a bist pattern generator and checker allows testing of the transmitter, receiver, and the connecting link as a part of a system diagnostic check. hotlink devices are ideal for a variety of applications where a parallel interface can be replaced with a high-speed point-to-point serial link. applications include interconnecting workstations, servers, mass st orage, and video transmission equipment. cy7b923 transmitter block diagram [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 2 of 40 cy7b933 receiver block diagram figure 1. hotlink system connections protocol logic host transmit message buffer 7b923 transmit ter serial link 7b933 receiver receive message buffer protocol logic host [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 3 of 40 contents pin configurations ........................................................... 4 pin descriptions ............................................................... 6 cy7b923 hotlink transmitter block diagram description........................................................................ 8 input register .............................................................. 8 encoder ....................................................................... 8 shifter .......................................................................... 8 outa, outb, outc........................................................ 8 clock generator ...................... .................................... 8 test logic.................................................................... 9 cy7b933 hotlink receiver block diagram description........................................................................ 9 serial data inputs........................................................ 9 pecl-ttl translator .................................................. 9 clock synchronization................................................. 9 framer......................................................................... 9 shifter .......................................................................... 9 decode register........ .............. .............. .............. ........ 9 decoder............. .............. .............. ........... ........... ...... 10 output register ......................................................... 10 test logic.................................................................. 10 hotlink cy7b923 transmitter and cy7b933 receiver operation......................................................... 10 cy7b923 hotlink transmitter operating mode description...................................................................... 11 encoded mode operation ....... .................................. 12 bypass mode operation............................................ 13 pecl output functional and connection options .... 13 transmitter serial data charac teristics ....................... 13 transmitter test mode description .............................. 13 bist mode ................................................................ 15 test mode ................................................................. 16 cy7b933 hotlink receiver operating mode description...................................................................... 16 encoded mode operation ....... .................................. 16 bypass mode operation............................................ 17 parallel output function............................................ 17 receiver serial data requirem ents ......... .............. ....... 17 receiver test mode description . ............. .............. ....... 18 bist mode ................................................................ 18 test mode ................................................................. 18 x3.230 codes and notation conventions .................... 18 notation conventions ................................................ 18 8b/10b transmission code....................................... 19 transmission order................................................... 19 valid and invalid transmission characters ............... 19 use of the tables for generating transmission characters................................................................. 20 using the tables for checking the validity of received transmission characters........................................... 20 valid data characters (sc/d = low)............................ 21 valid special character co des and sequences (sc/d = high) ............................................................................... 29 maximum ratings........................................................... 30 operating range............................................................. 30 cy7b923/cy7b933 electrical characteristics over the oper- ating range ...................................................................... 30 capacitance .................................................................... 31 transmitter switching characteristics over the operating range ............................................................................... 32 receiver switching characteristics over the operating range .............................................................................. 32 ordering information...................................................... 35 package diagrams.......................................................... 36 acronyms ........................................................................ 38 document conventions ................................................. 38 units of measure ....................................................... 38 document history page ................................................. 39 sales, solutions, and legal information ...................... 40 worldwide sales and design supp ort............. .......... 40 products .................................................................... 40 psoc solutions ......................................................... 40 [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 4 of 40 pin configurations figure 2. cy7b923 transmitter pin configurations sc/d (d a ) svs(d j ) outb ? outc ? outc+ v ccn bisten gnd mode rp v ccq (d h )d 7 (d g )d 6 (d f )d 5 (d i )d 4 outb+ outa+ outa ? foto enn ena v ccq ckw gnd d 0 (d b ) d 2 (d d ) d 1 (d c ) d 3 (d e ) 43 1 2 28 8 9 7 6 5 22 21 23 24 25 1213 15 14 16 plcc/lcc top view 10 11 20 19 2726 1718 foto enn ena v ccq ckw gnd sc/d (d a ) bisten gnd mode rp v ccq svs(d j ) (d h )d 7 6 d v outc+ outc ? outb+ outa+ outa ? outb ? soic top view 7b923 7b923 5 d 4 d 3 d 2 d 1 d 0 d ccn d (d ) e (d ) i (d ) f (d ) g (d ) c (d ) b (d ) 1 2 3 4 5 6 7 8 9 10 11 12 15 16 17 18 19 20 24 23 22 21 13 14 25 28 27 26 [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 5 of 40 figure 3. cy7b933 receiver pin configurations sc/d (q a ) ina ? ina+ a/b bisten rf gnd rdy gnd v ccn rvs (q j ) (q h )q 7 (q g )q 6 (q f )q 5 (q i )q 4 inb(inb+) si(inb ? ) mode refclk v ccq so ckr v ccq gnd sc/d (q a ) q 0 (q b ) q 2 (q d ) q 1 (q c ) q 3 (q e ) 43 1 2 28 8 9 7 6 5 22 21 23 24 25 1213 15 14 16 plcc/lcc top view 10 11 20 19 2726 1718 refclk v ccq so ckr v ccq gnd rf gnd rdy gnd v ccn rvs (q j ) (q h )q 7 q q q q q q q bisten a/b ina+ inb (inb+) si (inb ? ) mode ina ? soic top view 7b933 7b933 6 5 4 3 2 1 0 d (q ) e (q ) i (q ) f (q ) g (q ) c (q ) b (q ) 1 2 3 4 5 6 7 8 9 10 11 12 15 16 17 18 19 20 24 23 22 21 13 14 25 28 27 26 [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 6 of 40 pin descriptions table 1. cy7b923 hotlink transmitter name i/o description d 0 ? 7 (d b ? h ) ttl in parallel data input. data is clocked into the transmitter on the rising edge of ckw if ena is low (or on the next rising ckw with enn low). if ena and enn are high, a null character (k28.5) is sent. when mode is high, d 0, 1, ...7 become d b, c,...h , respectively. sc/d (d a ) ttl in special character/data select. a high on sc/d when ckw rises causes the transmitter to encode the pattern on d 0 ? 7 as a control code (special character), while a low causes the data to be coded using the 8b/10b data alphabet. when mode is high, sc/d (d a ) acts as d a input. sc/d has the same timing as d 0 ? 7 . svs (d j ) ttl in send violation symbol. if svs is high when ckw rises, a violation symbol is encoded and sent while the data on the parallel inputs is igno red. if svs is lo w, the state of d 0 ? 7 and sc/d determines the code sent. in normal or test mode, this pin overrides the bist generator and forc es the transmission of a violation code. when mode is high (pla cing the transmitter in unencoded mode), svs (d j ) acts as the d j input. svs has the same timing as d 0 ? 7 . ena ttl in enable parallel data. if ena is low on the rising edge of ckw, the data is loaded, encoded, and sent. if ena and enn are high, the data inputs are ignored and the transmitter will insert a null character (k28.5) to fill the space between user data. ena may be held high/low continuously or it may be pulsed with each data byte to be sent. if ena is being used for data control, enn will normally be strapped high, but can be used for bist function control. enn ttl in enable next parallel data. if enn is low, the data appearing on d 0 ? 7 at the next rising edge of ckw is loaded, encoded, and sent. if ena and enn are high, the data appearing on d 0 ? 7 at the next rising edge of ckw will be ignored and the transmitter will insert a null character to fill the space between user data. enn may be held high/low continuously or it ma y be pulsed with each data byte sent. if enn is being used for data control, ena will normally be strapped high, but can be used for bist function control. ckw ttl in clock write. ckw is both the clock frequency refe rence for the multiplying pll that generates the high-speed transmit clock, and the byte rate write signal that synchronizes the parallel data input. ckw must be connected to a crystal controlled time base th at runs within the specif ied frequency range of the transmitter and receiver. foto ttl in fiber optic transmitter off. foto determines the functi on of two of the three pecl transmitter output pairs. if foto is low, the data encoded by the transmitte r will appear at the outputs continuously. if foto is high, outa ? and outb ? are forced to their ?logic zero? state (out+ = low and out ? = high), causing a fiber-optic transmit module to extinguish its light output. outc is unaffected by the level on foto, and can be used as a loop-back signal source for board-level diagnostic testing. outa ? outb ? outc ? pecl out differential serial data outputs. these pecl 100 k outputs (+5 v referenced) are capable of driving terminated transmission lines or commercial fiber op tic transmitter modules. unused pairs of outputs can be left open, or wired to v cc to reduce power, if the output is not required. outa and outb are controlled by the level on foto, and will remain at their ?logical zero? states when foto is asserted. outc is unaffected by the level on foto. (outa+ and outb+ are used as a diff erential test clock input while in test mode, that is, mo de = unconnected or forced to v cc /2). mode three- level in encoder mode select. the level on mode determines the encoding method to be used. when wired to gnd, mode selects 8b/10b encoding. when wired to v cc , data inputs bypass the encoder and the bit pattern on d a?j goes directly to the shifter. when left fl oating (internal resistor s hold the input at v cc /2) the internal bit-clock generator is disabled and outa+/ outb+ become the differential bit clock to be used for factory test. in typical applications mode is wired to v cc or gnd. bisten ttl in bist enable. when bisten is low and ena and enn are high, the transmitter sends an alternating 1?0 pattern (d10.2 or d21.5). when either ena or enn is set low and bisten is low, the transmitter begins a repeating test sequence that allows the tran smitter and receiver to work together to test the function of the entire link. in normal us e this input is held high or wired to v cc . the bist generator is a free-running pattern generator that need not be initia lized, but if required, the bist sequence can be initialized by momentarily asserting svs while bisten is low. bisten has the same timing as d 0-7 . rp ttl out read pulse. rp is a 60% low duty-cycle byte-rate pulse trai n suitable for the re ad pulse in cy7c42x fifos. the frequency on rp is the same as ckw when enabled by ena , and duty cycle is independent of the ckw duty cycle. pulse widths are set by logi c internal to the transm itter. in bist mode, rp will remain high for all but the last byte of a test loop. rp will pulse low one byte time per bist loop. v ccn power for output drivers. v ccq power for internal circuitry. gnd ground. [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 7 of 40 table 2. cy7b933 hotlink receiver name i/o description q 0 ? 7 (q b ? h ) ttl out q 0?7 parallel data output. q 0?7 contain the most recently receiv ed data. these outputs change synchro- nously with ckr. when mode is high, q 0, 1, ...7 become q b, c,...h , respectively. sc/d (q a ) ttl out special character/data select. sc/d indicates the context of received data. high indicates a control (special character) code, low indicates a data charac ter. when mode is high (placing the receiver in unencoded mode), sc/d acts as the q a output. sc/d has the same timing as q 0 ? 7 . rvs (q j ) ttl out received violation symbol. a high on rvs indicates that a code rule violation has been detected in the received data stream. a low shows that no error has been detected. in bist mode, a low on rvs indicates correct operation of the transmitter, rece iver, and link on a byte-by-byte basis. when mode is high (placing the receiver in unencoded mode), rvs acts as the q j output. rvs has the same timing as q 0 ? 7 . rdy ttl out data output ready. a low pulse on rdy indicates that new data has been received and is ready to be delivered. a missing pulse on rdy shows that the received data is th e null character (normally inserted by the transmitter as a pad between data inputs). in bist mode rdy will remain low for all but the last byte of a test loop and will pulse high one byte time per bist loop. ckr ttl out clock read. this byte rate clock output is phase and frequency aligned to the incoming serial data stream. rdy , q 0 ? 7 , sc/d , and rvs all switch synchronously wit h the rising edge of this output. a/b pecl in serial data input select. this pecl 100k (+5v referenced) input selects ina or inb as the active data input. if a/b is high, ina is connected to the shifter and signals connected to ina will be decoded. if a/b is low inb is selected. ina ? diff in serial data input a. the differential signal at the receiver end of the communication link may be connected to the differential input pairs ina ? or inb ? . either the ina pair or the in b pair can be used as the main data input and the other can be used as a loopback c hannel or as an alternative data input selected by the state of a/b . one input of an intentionally unused differential-pair (ina ? or inb ??? should be terminated to v cc through a 1 ? 5-k ? resistor to assure that no data transitions are accidentally created. inb (inb+) pecl in (diff in) serial data input b. this pin is either a single-ended pec l data receiver (inb) or half of the inb differential pair. if so is wired to v cc , then inb ? can be used as differential line receiver interchangeably with ina ? . if so is normally connected and loaded, inb becomes a single-ended pecl 100k (+5 v referenced) serial data input. inb is used as the test clock while in test mode. si (inb ? ) pecl in (diff in) status input. this pin is either a single-ended pecl stat us monitor input (si) or half of the inb differential pair. if so is wired to v cc , then inb ? can be used as differential line receiver interchangeably with ina ? . if so is normally connected and loaded, si becomes a single-ended pecl 100k (+5v referenced) status monitor input, which is translated into a ttl-level signal at the so pin. so ttl out status out. so is the ttl-translated output of si. it is typically used to translate the carrier detect output from a fiber-optic receiver connected to si. when this pin is normally connected and loaded (without any external pull-up resistor), so will assume the same logical level as si and inb will become a single-ended pecl serial data input. if the status monitor transl ation is not desired, then so may be wired to v cc and the inb ? pair may be used as a differential serial data input. rf ttl in reframe enable. rf controls the framer logic in t he receiver. when rf is held high, each sync (k28.5) symbol detected in the shifter will fram e the data that follows. if it is high for 2,048 consecutive bytes, the internal framer switches to dou ble-byte mode. when rf is held low, the reframing logic is disabled. the incoming data stream is then continuously des erialized and decoded using byte boundaries set by the internal byte counter. bit errors in the data st ream will not cause alias sync characters to reframe the data erroneously. refclk ttl in reference clock. refclk is the clock frequency reference for the clock/data synchronizing pll. refclk sets the approximate center frequency for the internal pll to track the incoming bit stream. refclk must be connected to a crystal-controlled time base that runs within the frequency limits of the tx/rx pair, and the frequency must be the same as the transmitter ckw frequency (within ckw ? 0.1%). mode three- level in decoder mode select. the level on the mode pin determines the decoding method to be used. when wired to gnd, mode selects 8b/10b decoding. when wired to v cc , registered shifter contents bypass the decoder and are sent to q a ? j directly. when left floating (inter nal resistors hold the mode pin at v cc /2) the internal bit clock generator is disabled and inb beco mes the bit rate test clock to be used for factory test. in typical applications, mode is wired to v cc or gnd. [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 8 of 40 cy7b923 hotlink transmitter block diagram description input register the input register holds the data to be processed by the hotlink transmitter and allows the input ti ming to be made consistent with standard fifos. the input register is clocked by ckw and loaded with information on the d 0-7 , sc/d , and svs pins. two enable inputs (ena and enn ) allow the user to choose when data is loaded in the register. asserting enable, active low (ena) causes the inputs to be loaded in the register on the rising edge of ckw. if enn (enable next, active low) is asserted when ckw rises, the data present on the inputs on the next rising edge of ckw are loaded into the input register. if neither ena nor enn are asserted low on the rising edge of ckw, then a sync (k28.5) character is sent. these two inputs allow proper timing and function for compatibility with either asynchronous fifos or clocked fifos without external logic, as shown in figure 6 . in bist mode, the input register becomes the signature pattern generator by logically converting the parallel input register into a linear feedback shift register (lfsr). when enabled, this lfsr generates a 511-byte sequence that includes all data and special character codes, including the ex plicit violation symbols. this pattern provides a predictable but pseudo-random sequence that can be matched to an identical lfsr in the receiver. encoder the encoder transforms the input data held by the input register into a form more suitable for transmission on a serial interface link. the code used is specified by ansi x3.230 (fibre channel) and the ibm escon channel (see the table valid data characters (sc/d = low) on page 21 ). the eight d 0?7 data inputs are converted to either a data symbol or a special character, depending upon the state of the sc/d input. if sc/d is high, the data inputs represent a control code and are encoded using the special character code table. if sc/d is low, the data inputs are converted using the data code table. if a byte time passes with the inputs disabled, the encoder outputs a special character comma k28.5 (or sync) that maintains link synchronization. svs input forces the transmission of a specified violation symbol to allow the user to check the error handling system logic in the controller or for proprietary applications. the 8b/10b coding function of the encoder can be bypassed for systems that include an external coder or scrambler function as part of the controller. this bypass is controlled by setting the mode select pin high. when in bypass mode, d a-j (note that the bit order is specified in the fibre channel 8b/10b code) become the ten inputs to the shifter, with d a being the first bit to be shifted out. shifter the shifter accepts parallel data from the encoder after each byte time and shifts it to the serial interface output buffers using a pll multiplied bit clock that runs at 10 times the byte clock rate. timing for the parallel transfer is controlled by the counter included in the clock generator and is not affected by signal levels or timing at the input pins. outa, outb, outc the serial interface pecl output buffers (ecl100k referenced to +5 v) are the drivers for the serial media. they are all connected to the shifter and contain the same serial data. two of the output pairs (outa and ou tb) are controllable by the foto input and can be disabled by the system controller to force a logical zero (that is, ?light off ?) at the outputs. the third output pair (outc) is not affected by foto and supplies a continuous data stream suitable for loopb ack testing of the subsystem. outa and outb responds to foto input changes within a few bit times. however, since fo to is not synchronized with the transmitter data stream, the outputs will be forced off or turned on at arbitrary points in a transmitted byte. this function is intended to augment an external laser safety controller and as an aid for receiver pll testing. in wire-based systems, control of the outputs may not be required, and foto can be st rapped low. the three outputs are intended to add system and arch itectural flexibility by offering identical serial bit streams with separate interfaces for redundant connections or for multiple destinations. unneeded outputs can be wired to vcc to disable and power down the unused output circuitry. clock generator the clock generator is an embedded pll that takes a byte-rate reference clock (ckw) and multiplies it by 10 to create a bit rate clock for driving the serial shifter. the byte rate reference comes from ckw, the rising edge of which clocks data into the input register. this clock must be a crystal referenced pulse stream that has a frequency between the minimum and maximum specified for the hotlink transmitter/receiver pair. signals controlled by this block form the bit clock and the timing signals that control internal data transfers between the input register and the shifter. bisten ttl in built-in self-test enable. when bisten is low the receiver awaits a d0.0 (sent once per bist loop) character and begins a continuous te st sequence that tests the functi onality of the transmitter, the receiver, and the link connecting them. in bist mode the status of the test can be monitored with rdy and rvs outputs. in normal use bisten is held high or wired to v cc . bisten has the same timing as q 0?7 . v ccn power for output drivers. v ccq power for internal circuitry. gnd ground. table 2. cy7b933 hotlink receiver (continued) name i/o description [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 9 of 40 the read pulse (rp ) is derived from the feedback counter used in the pll multiplier. it is a byte -rate pulse stream with the proper phase and pulse widths to allow transfer of data from an asynchronous fifo. pulse width is independent of ckw duty cycle, since proper phase and duty cycle is maintained by the pll. the rp pulse stream ensures correct data transfers between asynchronous fifos and the transmitter input latch with no external logic. test logic test logic includes the initiali zation and control for the bist generator, the multiplexer for test mode clock distribution, and control logic to properly select the data encoding. test logic is discussed in detail in cy7b923 hotlink transmitter operating mode description on page 11 . cy7b933 hotlink receiver block diagram description serial data inputs two pairs of differential line receivers are the inputs for the serial data stream. ina or inb can be selected with the a/b input. ina is selected with a/b high and inb is selected with a/b low. the threshold of a/b is compatible with the ecl 100k signals from pecl fiber optic interface modules. ttl logic elements can be used to select the a or b inputs by adding a resistor pull-up to the ttl driver connected to a/b. the differential threshold of ina and inb will accommodate wire interconnect with filtering losses or transmission line attenuation greater than 20 db (v dif > 50 mv) or can be directly connected to fiber optic interface modules (any ecl logic family, not limited to ecl 100k). the common mode tolerance will accommodate a wide range of signal termination voltages. the highest high input that can be tolerated is v in = v cc , and the lowest low input that can be interpreted correctly is v in = gnd+2.0v. pecl-ttl translator the function of the inb(inb+) in put and the si(inb?) input is defined by the connections on the so output pin. if the pecl/ttl translator function is not required, the so output is wired to vcc. a sensor circuit detects this connection and causes the inputs to become inb (a differential line-receiver serial-data input). if the pecl/ttl translator function is required, the so output is connected to its normal ttl load (typically one or more ttl inputs, but no pull-up resistor) and the inb+ input becomes single-ended ecl 100k, serial data input (inb) and the inb? input becomes single-ended, ecl 100k status input (si). this positive-referenced pecl-to-ttl translator is provided to eliminate external logic between an pecl fiber-optic interface module ?carrier detect? output and the ttl input in the control logic. the input threshold is compatible with ecl 100k levels (+5-v referenced). it can also be used as part of the link status indication logic for wire connected systems. clock synchronization the clock synchronization function is performed by an embedded pll that tracks the frequency of the incoming bit stream and aligns the phase of its internal bit rate clock to the serial data transitions. this block contains the logic to transfer the data from the shifter to t he decode register once every byte. the counter that controls this transfer is initialized by the framer logic. ckr is a buffered output de rived from the bit counter used to control the decode register an d the output register transfers. clock output logic is designed so that when reframing causes the counter sequence to be interrupt ed, the period and pulse width of ckr is never less than normal. reframing may stretch the period of ckr by up to 90%, and either ckr pulse width high or pulse width low may be stretched, depending on when reframe occurs. the refclk input provides a byte-rate reference frequency to improve pll acquisition time and limit unlocked frequency excursions of the ckr when no data is present at the serial inputs. the frequency of refclk is required to be within 0.1% of the frequency of the clock that drives the transmitter ckw pin. framer framer logic checks the incoming bit stream for the pattern that defines the byte boundaries. this combinatorial logic filter looks for the x3.230 symbol defined as a special character comma (k28.5). when it is found, the free-running bit counter in the clock synchronization block is synch ronously reset to its initial state, thus framing the data corre ctly on the correct byte bound- aries. random errors that occur in the serial data can corrupt some data patterns into a bit pattern identical to a k28.5, and thus cause an erroneous data-framing error. the rf input prevents this by inhibiting reframing during times when normal message data is present. when rf is hel d low, the hotlink receiver will deserialize the incoming data without trying to reframe the data to incoming patterns. when rf rises, rdy will be inhibited until a k28.5 has been detected, after which rdy will resume its normal function. while rf is high , it is possible that an error could cause misframing, after which all data will be corrupted. likewise, a k28.7 foll owed by d11.x, d20.x, or an svs (c0.7) followed by d11.x will create alias k28.5 characters and cause erroneous framing. these sequenc es must be avoided while rf is high. if rf remains high for greater than 2048 bytes, the framer converts to double-byte framing, requiring two k28.5 characters aligned on the same byte boundary within 5 bytes in order to reframe. double-byte framing greatly reduces the possibility of erroneously reframing to an aliased k28.5 character. shifter the shifter accepts serial inputs from the serial data inputs one bit at a time, as clocked by the clock synchronization logic. data is transferred to the framer on each bit, and to the decode register once per byte. decode register the decode register accepts data from the shifter once per byte as determined by the logic in the clock synchronization block. it is presented to the decoder and held until it is transferred to the output latch. [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 10 of 40 decoder parallel data is transformed from ansi-specified x3.230 8b/10b codes back to ?raw data? in the decoder. this block uses the standard decoder patterns shown in valid data characters (sc/d = low) on page 21 and valid special character codes and sequences (sc/d = high)[1, 2] on page 29 . data patterns are signaled by a low on the sc/d output and special character patterns are signaled by a high on the sc/d output. unused patterns or disparity errors are signaled as errors by a high on the rvs output and by specif ic special character codes. output register the output register holds the recovered data (q 0?7 , sc/d , and rvs) and aligns it with the recovered byte clock (ckr). this synchronization insures proper timing to match a fifo interface or other logic that requires gl itch-free and specified output behavior. outputs change synchronously with the rising edge of ckr. in bist mode, this register becomes the signature pattern generator and checker by logically converting itself into a linear feedback shift register (lfsr) pattern generator. when enabled, this lfsr generates a 511-byte sequence that includes all data and special character codes, incl uding the explicit violation symbols. this pattern provides a predictable but pseudo-random sequence that can be matched to an identical lfsr in the trans- mitter. when synchronized, it c hecks each byte in the decoder with each byte generated by the lfsr and shows errors at rvs. patterns generated by the lfsr are compared after being buffered to the output pins and then fed back to the comparators, allowing test of the entire receive function. in bist mode, the lfsr is initialized by the first occurrence of the transmitter bist loop start code d0.0 (d0.0 is sent only once per bist loop). once the bist loop has been started, rvs will be high for pattern mismatches between the received sequence and the internally generated sequence. code rule violations or running disparity errors that occur as part of the bist loop will not cause an error indication. rdy will pulse high once per bist loop and can be used to check test pattern progress. the receiver bist generator can be reinitialized by leaving and re-entering bist mode. test logic test logic includes the initialization and control for the built-in self-test (bist) generator, the multiplexer for test mode clock distribution, and control logic for the decoder. test logic is discussed in more detail in the cy7b933 hotlink receiver operating mode description . hotlink cy7b923 transmitter and cy7b933 receiver operation the cy7b923 transmitter operating with the cy7b933 receiver form a general purpose data communications subsystem capable of transporting user data at up to 33 mbytes per second (40 mbytes per second for ?400 de vices) over several types of serial interface media. figure 10 on page 33 illustrates the flow of data through the hotlink cy7b923 transmitter pipeline. data is latched into the transmitter on the rising edge of ckw when enabled by ena or enn . rp is asserted low with a 60% low/40% high duty cycle when ena is low. rp may be used as a read strobe for accessing data stored in a fifo. the parallel data flows through the encoder and is then shifted out of the outx pecl drivers. the bit-rate clock is generated internally from a multiply-by-ten pll cloc k generator. the latency through the transmitter is approximately 21t b ? 10 ns over the operating range. a more complete description is found in the section cy7b923 hotlink transmitter operating mode description . figure 5 illustrates the data flow through the hotlink cy7b933 receiver pipeline. serial data is sampled by the receiver on the inx inputs. the receiver pll locks onto the serial bit stream and generates an internal bit rate clock. the bit stream is deseri- alized, decoded and then present ed at the parallel output pins. a byte rate clock (bit clock ? 10) synchronous with the parallel data is presented at the ckr pin. the rdy pin will be asserted to low to indicate that data or control characters are present on the outputs. rdy will not be asserted low in a field of k28.5s except for any single k28.5 or the last one in a continuous series of k28.5?s. the latency through the receiver is approximately 24t b + 10 ns over the operating range. a more complete description of the receiver is in the section cy7b933 hotlink receiver operating mode description . the hotlink receiver has a built-in byte framer that synchro- nizes the receiver pipeline with incoming sync (k28.5) characters. figure illustrates the hotlink cy7b933 receiver framing operation. the framer is enabled when the rf pin is asserted high. rf is latched into the receiver on the falling edge of ckr. the framer looks for k28.5 characters embedded in the serial data stream. when a k28.5 is found, the framer sets the parallel byte boundary for subsequent data to the k28.5 boundary. while the framer is enabled, the rdy pin indicates the status of the framing operation. when the rf pin is asserted high, rdy leaves it normal mode of operation and is asserted high while the framer searches the data stream for a k28.5 character. after the framer has synchro- nized to a k28.5 character, the receiver will assert the rdy pin low when the k28.5 character is present at the parallel output. the rdy pin will then resume its normal operation as dictated by the mode and bisten pins. the normal operation of the rdy pin in encoded mode is to signal when parallel data is present at the output pins by pulsing low with a 60% low/40% high duty cycle. rdy does not pulse low in a field of k28.5 characters; however, rdy does pulse low for the last k28.5 character in the field or for any single k28.5. in unencoded mode, the normal operation of the rdy pin is to signal when any k28.5 is at the parallel output pins. [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 11 of 40 figure 4. cy7b933 receiver data pipeline in encoded mode the transmitter and receiver parallel interface timing and functionality can be made to match the timing and functionality of either an asynchronous fifo or a clocked fifo by appropri- ately connecting signals (see figure 6 on page 12 ). proper operation of the fifo interface depends upon various fifo-specific access and re sponse specifications. the hotlink transmitter and receiver serial interface provides a seamless interface to various types of media. a minimal number of external components are needed to properly terminate trans- mission lines and provide pecl loads. for proper power supply decoupling, a single 0.01 ? f for each device is all that is required to bypass the v cc and gnd pins. figure 7 on page 14 illustrates a hotlink transmitter and receiver interface to fiber-optic and copper media. more information on interfacing hotlink to various media can be found in the hotlink design consider- ations application note. cy7b923 hotlink transmitter operating mode description in normal operation, the transmitter can operate in either of two modes. the encoded mode allows a user to send and receive eight-bit data and control informati on without first converting it to transmission characters. the bypass mode is used for systems in which the encoding and decoding is performed in an external protocol controller. in either mode, data is loaded into the input register of the trans- mitter on the rising edge of ckw. the input timing and functional response of the transmitter input can be made to match the timing and functionality of either an asynchronous fifo or a clocked fifo by an appropriate connection of input signals (see figure 6 on page 12 ). proper operation of the fifo interface depends upon various fifo-specific access and response specifications. figure 5. cy7b933 framing operation in encoded mode ckr q 0 ? 7 , sc/d , rvs rdy inx ? data k28.5 data k28.5 serial data in parallel data out rdy is high in field of k28.5s rdy is low for last k28.5 data rdy is low for data receiver latency= 24 t b +10 ns ckr q 0 ? 7 , sc/d , rvs rdy rdy is high while waiting for k28.5 rdy is low for k28.5 k28.5 rf data data data data data data data rdy resumes normal operation ckr stretches as data boundary changes rf latched on falling edge of ckr [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 12 of 40 encoded mode operation in the encoded mode, the input data is interpreted as eight bits of data (d 0 ?d 7 ), a context control bit (sc/d ), and a system diagnostic input bit (svs). if the context of the data is to be normal message data, the sc/d input should be low, and the data should be encoded using the valid data character set described in valid data characters (sc/d = low) on page 21 . if the context of the data is to be control or protocol information, the sc/d input is high, and the data is encoded using the valid special character set described in valid special character codes and sequences (sc/d = high)[1, 2] on page 29 . special characters include all protocol characters necessary to encode packets for fibre channel, escon, proprietary systems, and diagnostic purposes. the diagnostic characters and sequences available as special characters include those for fibre channel link testing, as well as codes to be used for testin g system response to link errors and timing. a violation symbol can be explicitly sent as part of a user data packet (i.e., send c0.7; d 7?0 = 111 00000 and sc/d = 1), or it can be sent in response to an external system using the svs input. this allows the system diagnostic logic to evaluate the errors in an unambiguous manner, and does not require any modification to the transmission interface to force transmission errors for testing purposes. figure 6. seamless fifo interface 7c42x/3x/6x/7x clocked fifo asynchronous fifo 7c44x/5x 9 rq 0?8 enr ckr 9 7b923 7b923 ena d 0?7 , sc/d ckw rp enn ckw from controller hotlink transmitter hotlink receiver 7b933 7b933 rdy ckr rdy ckr 9 9 w enw d 0?8 ckw 7c42x/3x/6x/7x 7c44x/5x clocked fifo asynchronous fifo hotlink receiver q 0?8 d 0?7 , sc/d hotlink transmitter q 0?7 , sc/d q 0?7 , sc/d d 0?8 [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 13 of 40 bypass mode operation in the bypass mode, the input data is interpreted as 10 bits (d b?h ), sc/d (d a ), and svs (d j ) of pre-encoded transmission data to be serialized and sent over the link. this data can use any encoding method suitable to the designer. the only restric- tions upon the data encoding method is that it contain suitable transition density for the receiver pll data synchronizer (one per 10-bit byte), and that it be compatible with the transmission media. data loaded into the input register on the rising edge of ckw is loaded into the shifter on the subsequent rising edges of ckw. it will then be shifted to the outputs one bit at a time using the internal clock generated by the clock generator. the first bit of the transmission character (d a ) appears at the output (outa, outb, and outc) after the next ckw edge. while in either the encoded mode or bypass mode, if a ckw edge arrives when the inputs are not enabled (ena and enn both high), the encoder inserts a pad character k28.5 (for example, c5.0) to maintain proper link synchronization (in the bypass mode the proper sense of running disparity cannot be guaranteed for the first pad character, but is correct for all pad characters that follow). this automatic insertion of pad characters can be inhibited by insuring that the transmitter is always enabled (that is, ena or enn is hard-wired low). pecl output functional and connection options the three pairs of pecl outputs all contain the same information and are intended for use in syst ems with multiple connections. each output pair may be connected to a different serial media, each of which may be a different length, link type, or interface technology. for systems th at do not require all three output pairs, the unused pairs should be wired to v cc to minimize the power dissipated by the output circuit, and to minimize unwanted noise generation. an internal volt age comparator detects when an output differential pair is wired to v cc , causing the current source for that pair to be disabled. this results in a power savings of around 5 ma for each unused pair. in systems that require the outp uts to be shut off during some periods when link transmission is prohibited (for example, for laser safety functions), the foto input can be asserted. while it is possible to insure that the out put state of the pecl drivers is low (that is, light is off) by sending all 0s in bypass mode, it is often inconvenient to insert this level of control into the data transmission channel, and it is impossible in encoded mode. foto is provided to simplify and augment this control function (typically found in laser-based transmission systems). foto will force outa+ and outb+ to go low, outa? and outb? to go high, while allowing outc to continue to function normally (outc is typically used as a dia gnostic feedback and cannot be disabled). this separation of function allows various system configurations without undue load on the control function or data channel logic. transmitter serial data characteristics the cy7b923 hotlink transmitter se rial output conforms to the requirements of the fibre channel specification. the serial data output is controlled by an internal pll that multiplies the frequency of ckw by 10 to maintain the proper bit clock frequency. the jitter characteristics (including both pll and logic components) are as follows: deterministic jitter (d j ) < 35 ps (peak-peak). typically measured while sending a continuous k28.5 (c5.0). random jitter (r j ) < 175 ps (peak-peak). typically measured while sending a contin uous k28.7 (c7.0). transmitter test mode description the cy7b923 transmitter offers two types of test mode operation, bist mode and test mode. in a normal system appli- cation, the bist mode can be used to check the functionality of the transmitter, the receiver, and the link connecting them. this mode is available with minimal im pact on user system logic, and can be used as part of the norm al system diagnostics. typical connections and timing are shown in figure 8 on page 15 . [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 14 of 40 figure 7. hotlink connection diagram rx sig 9 24 21 20 vcc control config and config cy7b923 (dj) (dh) (dg) (df) (di) (de) (dd) (dc) (db) (da) transmitter coax or fiber fiber tx e signal det. optional fiber optic tx twisted pair twisted pair coax or fiber optic rx fiber optic pecl load tx pecl load tx pecl load transmission line termination status data data status control and cy7b933 (qa) receiver (qb) (qh) (qg) (qc) (qd) (qe) (qi) (qf) (qj) 0.01 ? f 922 20 8 24 23 5 6 4 10 19 2 3 1 28 26 27 7 25 11 12 13 14 15 16 17 18 21 82 rl/2 rl/2 82 130 649 0.01 ? f 0.01 ? f 0.01 ? f 130 270 0.01 ? f 0.01 ? f 82 130 0.01 ? f 270 270 130 1500 82 25 8 6 7 26 4 23 19 10 5 27 28 1 2 11 12 13 14 15 16 17 18 22 3 vcc gnd rp enn ena bisten svs sc/d outc? outc+ outb? outb+ outa? outa+ mode foto d7 d6 d5 d4 d3 d2 d1 d0 ckw vcc rx? rx+ gnd vcc tx? tx+ gnd b a d c b a d c e refclk gnd rdy bisten so sc/d rvs rf ib? ib+ ia? ia+ d7 d6 d5 d4 d3 d2 d1 d0 ckr a/b mode unused output left open or wired to v cc 270 270 to minimize power dissipation [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 15 of 40 figure 8. bist illustration bist mode the bist mode functions as follows: 1. set bisten low to begin test pattern generation. the trans- mitter begins sending bit rate ...1010... 2. set either ena or enn low to begin pattern sequence generation (use of the enable pin not being used for normal fifo or system interface can minimize logic delays between the controller and transmitter). 3. allow the transmitter to run through several bist loops or until the receiver test is complete. rp will pulse low once per bist loop, and can be used by an external counter to monitor the number of test pattern loops. 4. when testing is completed, set bisten high and ena and enn high and resume normal function. note : it may be advisable to send violation characters to test the rvs output in the receiver. th is can be done by explicitly sending a violation with the svs input, or allowing the transmitter bist loop to run while the receiver runs in normal mode. the bist loop includes deliberate violation symbols and will adequately test the rvs function. foto mode ckw rp sc/d d 0?7 svs ena enn bisten refclk mode rf ckr sc/d q 0?7 rvs rdy bisten outa outb outc don't care so ina inb a/b cy7b923 cy7b933 8 8 bist tx start tx stop error test start test end rx begin loop bist loop test low don't care low within spec. don't care low don't care within spec. don't care don't care high [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 16 of 40 bist mode is intended to che ck the entire function of the trans- mitter (except the transmitter input pins and the bypass function in the encoder), the serial link, and the receiver. it augments normal factory ate testing and provides the designer with a rigorous test mechanism to ch eck the link transmission system without requiring any sign ificant system overhead. while in bypass mode, the bist logic will function in the same way as in the encoded mode. mode = high and bisten = low causes the transmitter to switch to encoded mode and begin sending the bist pattern, as if mode = low. when bisten returns to high, the tr ansmitter resumes normal bypass operation. in test mode the bist function works as in the normal mode. for more information on bist, consult the ?hotlink built-in self-test? application note. test mode the mode input pin selects between three transmitter functional modes. when wired to v cc , the d( a?j ) inputs bypass the encoder and load directly from the input register into the shifter. when wired to gnd, the inputs d 0?7 , svs, and sc/d are encoded using the fibre channel 8b/10b codes and sequences (shown at the end of this datasheet). since the transmitter is usually hardwired to encoded or bypass mode and not switched between them, a third function is provided for the mode pin. the test mode is selected by floatin g the mode pin (internal resistors hold the mode pin at v cc /2). test mode is used for factory or incoming device tests. the test mode causes the transmitter to function in its encoded mode, but with outa+/outb+ (used as a differential test clock input) as the bit rate clock input instead of the internal pll-generated bit clock. in this mode, inputs are clocked by ckw and transfers between the i nput register and shifter are timed by the internal counters. the bit-clock and ckw must maintain a fixed phase and divide-by-ten ratio. the phase and pulse width of rp are controlled by phases of the bit counter (pll feedback counter) as in normal mode. input and output patterns can be synchronized with internal logic by observing the state of rp or the device can be initialized to match an ate test pattern using the following technique: 1. with the mode pin either high or low, stop ckw and bit-clock. 2. force the mode pin to mid (open or v cc /2) while the clocks are stopped. 3. start the bit-clock and let it run for at least two cycles. 4. start the ckw clock at the bit-clock/10 rate. test mode is intended to allow lo gical, dc, and ac testing of the transmitter without requiring that the tester check output data patterns at the bit rate, or a ccommodate the pll lock, tracking, and frequency range characteristi cs that are required when the hotlink part operates in its normal mode. to use outa+/outb+ as the test clock input, the foto i nput is held high while in test mode. this forces the two outputs to go to a ?pecl low? which can be ignored while the test syst em creates a differential input signal at some higher voltage. cy7b933 hotlink receiver operating mode description in normal user operation, the re ceiver can operate in either of two modes. the encoded mode allows a user system to send and receive eight-bit data and control information without first converting it to transmission characters. the bypass mode is used for systems in which the encoding and decoding is performed by an external protocol controller. in either mode, serial data is received at one of the differential line receiver inputs and routed to the shifter and the clock synchronization. the pll in the clock synchronizer aligns the internally generated bit rate clock with the incoming data stream and clocks the data into the shifter. at the end of a byte time (ten bit times), the data accumulated in the shifter is transferred to the decode register. to properly align the incoming bit stream to the intended byte boundaries, the bit counter in the clock synchronizer must be initialized. the framer logic bl ock checks the incoming bit stream for the unique pattern that defi nes the byte boundaries. this combinatorial logic filter looks for the x3.230 symbol defined as ?special character comma? (k28.5). after k28.5 is found, the free running bit counter in th e clock synchronizer block is synchronously reset to its initial state, thus ?framing? the data to the correct byte boundaries. since noise-induced errors can cause the incoming data to be corrupted, and because many co mbinations of error and legal data can create an alias k28.5, an option is included to disable resynchronization of the bit counte r. the framer will be inhibited when the rf input is held low. when rf rises, rdy will be inhibited until a k28.5 has been detected, and rdy will resume its normal function. data will continue to flow through the receiver while rdy is inhibited. encoded mode operation in encoded mode the serial input data is decoded into eight bits of data (q 0 ?q 7 ), a context control bit (sc/d ), and a system diagnostic output bit (rvs). if th e pattern in the decode register is found in the valid data characte rs table, the context of the data is decoded as normal message data and the sc/d output will be low. if the incoming bit pattern is found in the valid special character codes and sequences table, it is interpreted as ?control? or ?protocol information?, and the sc/d output will be high. special characters include all protocol characters defined for use in packets for fibre channel, escon, and other propri- etary and diagnostic purposes. the violation symbol that can be ex plicitly sent as part of a user data packet (that is, tr ansmitter sending c0.7; d 7?0 = 111 00000 and sc/d = 1; or svs = 1) will be decoded and indicated in exactly the same way as a noise-induced error in the trans- mission link. this function will allow system diagnostics to evaluate the error in an unambiguous manner, and will not require any modification to the receiver data interface for error-testing purposes. [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 17 of 40 bypass mode operation in bypass mode the serial input data is not decoded, and is trans- ferred directly from the decode re gister to the output register?s 10 bits (q( a?j ). it is assumed that the data has been preencoded prior to transmission, and will be decoded in subsequent logic external to hotlink. this data can use any encoding method suitable to the designer. the only restrictions upon the data encoding method is that it contain suitable transition density for the receiver pll data synchronizer (one per 10-bit byte) and that it be compatible with the transmission media. the framer function in bypass mode is identical to encoded mode, so a k28.5 pattern can still be used to reframe the serial bit stream. parallel output function the 10 outputs (q 0?7 , sc/d , and rvs) all transition simulta- neously, and are aligned with rdy and ckr with timing allow- ances to interface directly with either an asynchronous fifo or a clocked fifo. typical fifo connections are shown in figure 6 on page 12 . data outputs can be clocked into the system using either the rising or falling edge of ckr, or the rising or falling edge of rdy . if ckr is used, rdy can be used as an enable for the receiving logic. a low pulse on rdy shows that new data has been received and is ready to be delivered. the signal on rdy is a 60%-low duty cycle byte-rate puls e train suitable for the write pulse in asynchronous fifos such as the cy7c42x, or the enable write input on clocked fifos such as the cy7c44x. high on rdy shows that the received data appearing at the outputs is the null character (norma lly inserted by the transmitter as a pad between data inputs) and should be ignored. when the transmitter is disabled it will continuously send pad characters (k28.5). to assure that the receive fifo will not be overfilled with these dummy bytes, the rdy pulse output is inhibited during fill strings. data at the q 0?7 outputs will reflect the correct received data, but will not appear to change, since a string of k28.5s all are decoded as q 7?0 =000 00101 and sc/d = 1 (c5.0). when new data app ears (not k28.5), the rdy output will resume normal function. the ?last? k28.5 will be accom- panied by a normal rdy pulse. fill characters are defined as any k28.5 followed by another k28.5. all fill characters will not cause rdy to pulse. any k28.5 followed by any other character (including violation or illegal characters) will be interpreted as usable data and will cause rdy to pulse. as noted above, rdy can also be used as an indication of correct framing of received data. while the receiver is awaiting receipt of a k28.5 with rf high, the rdy outputs will be inhibited. when rdy resumes, the received data will be properly framed and will be decoded correctly. in bypass mode with rf high, rdy will pulse once for each k28.5 received. for more information on the rdy pin, consult the ?hotlink cy7b933 rdy pin description? application note. code rule violations and reception errors will be indicated as follows: rvs sc/ d qouts name 1. good data code received with good running disparity (rd) 0 0 00-ffd0.0-31.7 2. good special character code received with good rd 0 1 00-0bc0.0-11.0 3. k28.7 immediately following k28.1 (escon connect_sof) 0 1 27 c7.1 4. k28.7 immediately following k28.5 (escon passive_sof) 0 1 47 c7.2 5. unassigned code received 1 1 e0 c0.7 6. -k28.5+ received when rd was + 1 1 e1 c1.7 7. +k28.5? received when rd was ? 1 1 e2 c2.7 8. good code received with wrong rd 1 1 e4 c4.7 receiver serial data requirements the cy7b933 hotlink receiver serial input capability conforms to the requirements of the fibre channel specification. the serial data input is tracked by an internal pll that is used to recover the clock phase and to extract the data from the serial bit stream. jitter tolerance characteristics (including both pll and logic component requirements) are shown below: deterministic jitter tolerance (dj) > 40% of t b . typically measured while receiving data carried by a bandwidth-limited channel (e.g., a coaxial transmission line) while maintaining a bit error rate (ber) < 10?12. random jitter tolerance (rj) > 90% of t b . typically measured while receiving data carried by a random-noise-limited channel (e.g., a fiber-optic transmission system with low light levels) while maintaining a bit error rate (ber) < 10?12. total jitter tolerance > 90% of t b . total of dj + rj. pll-acquisition time < 500-bit times from worst-case phase or frequency change in the serial input data stream, to receiving data within ber objective of 10?12. stable power supplies within specifications, stable refclk input frequency and normal data framing protocols are assumed. note acquisition time is measured from worst-case phase or frequency change to zero phase and frequency error. as a result of the receiver?s wide ji tter tolerance, valid data appears at the receiver?s outputs a few byte times after a worst-case phase change. [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 18 of 40 receiver test mode description the cy7b933 receiver offers two types of test mode operation, bist mode and test mode. in a normal system application, the built-in self-test (bist) mode can be used to check the function- ality of the transmitter, the rece iver and the link connecting them. this mode is available with minima l impact on user system logic, and can be used as part of the normal system diagnostics. typical connections and timing are shown in figure 8 on page 15 . bist mode the bist mode function is as follows: 1. set bisten low to enable self-test generation and await rdy low indicating that the initialization code has been re- ceived. 2. monitor rvs and check for any byte time with the pin high to detect pattern mismatches. rdy will pulse high once per bist loop, and can be used by an external counter to monitor test pattern progress. q 0?7 and sc/d will show the expected pattern and may be useful for debug purposes. 3. when testing is completed, set bisten high and resume normal function. note a specific test of the rvs output may be required to assure an adequate test. to perform this test, it is only necessary to have the transmitter send violation (svs = high) for a few bytes before beginning the bist test sequence. alternatively, the receiver could enter bist mode after the transmitter has begun sending bist loop data, or be removed before the transmitter finishes sending bist loops, each of which contain several delib- erate violations and should cause rvs to pulse high. bist mode is intended to check the entire function of the trans- mitter, serial link, and receiver. it augments normal factory ate testing and provides the user system with a rigorous test mechanism to check the link transmission system, without requiring any signifi cant system overhead. when in bypass mode, the bist logic will function in the same way as in the encoded mode. mode = high and bisten = low causes the receiver to s witch to encoded mode and begin checking the decoded received data of the bist pattern, as if mode = low. when bisten returns to high, the receiver resumes normal bypass operation. in test mode the bist function works as in the normal mode. test mode the mode input pin selects between three receiver functional modes. when wired to vcc, the shifter contents bypass the decoder and go directly from the decoder latch to the q a?j inputs of the output latch. when wired to gnd, the outputs are decoded using the 8b/10b codes shown at the end of this datasheet and become q 0?7 , rvs, and sc/d . the third function is test mode, used for factory or incoming de vice test. this mode can be selected by leaving the mode pin open (internal circuitry forces the open pin to v cc /2). test mode causes the receiver to function in its encoded mode, but with inb (inb+) as the bit rate test clock instead of the internal pll generated bit clock. in this mode, transfers between the shifter, decoder register and output register are controlled by their normal logic, but with an external bit rate clock instead of the pll (the recovered bit clock). internal logic and test pattern inputs can be synchronized by sending a sync pattern and allowing the framer to align the logic to the bit stream. the flow is as follows: 1. assert test mode for several test clock cycles to establish normal counter sequence. 2. assert rf to enable reframing. 3. input a repeating sequence of bits representing k28.5 (sync). 4. rdy falling shows the byte boundary established by the k28.5 input pattern. 5. proceed with pattern, voltage and timing tests as is conve- nient for the test program and tester to be used. (while in test mode and in bist mode with rf high, the q 0?7 , rvs, and sc/d outputs reflect various internal logic states and not the received data.) test mode is intended to allow logical, dc, and ac testing of the receiver without requiring that t he tester generate input data at the bit rate or accommodate the pll lock, tracking and frequency range characteristics that are required when the part operates in its normal mode. x3.230 codes and notation conventions information to be transmitted over a serial link is encoded eight bits at a time into a 10-bit transmission character and then sent serially, bit by bit. information received over a serial link is collected ten bits at a time, and those transmission characters that are used for data (data characters) are decoded into the correct eight-bit codes. the 10-bit transmission code supports all 256 8-bit combinations. some of the remaining transmission characters (special characters) are used for functions other than data transmission. the primary rationale for use of a transmission code is to improve the transmission characte ristics of a serial link. the encoding defined by the transmission code ensures that suffi- cient transitions are present in the serial bit stream to make clock recovery possible at the receiver. such encoding also greatly increases the likelihood of detecting any single or multiple bit errors that may occur during transmission and reception of infor- mation. in addition, some special characters of the trans- mission code selected by fibre channel standard consist of a distinct and easily recognizable bit pattern (the special character comma) that assists a receiver in achieving word alignment on the incoming bit stream. notation conventions the documentation for the 8b/10b transmission code uses letter notation for the bits in an 8-bit byte. fibre channel standard notation uses a bit notation of a, b, c, d, e, f, g, h for the 8-bit byte for the raw 8-bit data, and the lette rs a, b, c, d, e, i, f, g, h, j for encoded 10-bit data. there is a correspondence between bit a and bit a, b and b, c and c, d and d, e and e, f and f, g and g, and h and h. bits i and j are derived, respectively, from (a,b,c,d,e) and (f,g,h). the bit labeled a in the description of the 8b/10b transmission code corresponds to bit 0 in the numbering scheme of the fc-2 specification, b corresponds to bit 1, as shown below. fc-2 bit designation? 7 6 5 4 3 2 1 0 hotlink d/q designation? 7 6 5 4 3 2 1 0 8b/10b bit designation? h g f e d c b a [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 19 of 40 to clarify this correspondence, the following example shows the conversion from an fc-2 valid data byte to a transmission character (using 8b/10b transmission code notation) fc-2 45 bits: 7654 3210 0100 0101 converted to 8b/10b notation (note carefully that the order of bits is reversed): data byte named5.2 bits: abcde fgh 10100 010 translated to a transmission character in the 8b/10b trans- mission code: bits: abcdei fghj 101001 0101 each valid transmission character of the 8b/10b transmission code has been given a name using the following convention: cxx.y, where c is used to show whether the transmission character is a data character (c is set to d, and the sc/d pin is low) or a special character (c is set to k, and the sc/d pin is high). when c is set to d, xx is the decimal value of the binary number composed of the bits e, d, c, b, and a in that order, and the y is the decimal value of t he binary number composed of the bits h, g, and f in that order. when c is set to k, xx and y are derived by comparing the encoded bit patterns of the special character to those patterns der ived from encoded valid data bytes and selecting the names of the patterns most similar to the encoded bit patterns of the special character. under the above conventions, the transmission character used for the examples above, is referred to by the name d5.2. the special character k29.7 is so named because the first six bits (abcdei) of this character make up a bit pattern similar to that resulting from the encoding of the unencoded 11101 pattern (29), and because the second four bits (fghj) make up a bit pattern similar to that resulting from the encoding of the unencoded 111 pattern (7). note : this definition of the 10-bit transmission code is based on (and is in basic agreement with) the following references, which describe the same 10-bit transmission code. a.x. widmer and p.a. franaszek. ?a dc-balanced, parti- tioned-block, 8b/10b transmission code? ibm journal of research and development , 27, no. 5: 440-451 (september, 1983). u.s. patent 4, 486, 739. pete r a. franaszek and albert x. widmer. ?byte-oriented dc balanced (0.4) 8b/10b partitioned block transmission code? (december 4, 1984). fibre channel physical and signaling interface (dpans x3.230-199x ansi fc-ph standard). ibm enterprise systems archit ecture/390 escon i/o interface (document number sa22-7202). 8b/10b transmission code the following information describes how the tables shall be used for both generating valid transmission characters (encoding) and checking the validity of received transmission characters (decoding). it also specifies the ordering rules to be followed when transmitting the bits within a character and the characters within the higher-level constructs specified by the standard. transmission order within the definition of the 8b/10b transmission code, the bit positions of the transmission charac ters are labeled a, b, c, d, e, i, f, g, h, j. bit ?a? shall be tr ansmitted first followed by bits b, c, d, e, i, f, g, h, and j in that order. (note that bit i shall be trans- mitted between bit e and bit f, rather than in alphabetical order.) valid and invalid transmission characters the following tables define the valid data characters and valid special characters (k characters), respectively. the tables are used for both generating valid transmission characters (encoding) and checking the validity of received transmission characters (decoding). in the tables, each valid-data-byte or special-character-code entry has two columns that represent two (not necessarily different) transmission characters. the two columns correspond to the current value of the running disparity (?current rd?? or ?current rd+?). running disparity is a binary parameter with either the value negative (?) or the value positive (+). after powering on, the transmitter may assume either a positive or negative value for its initial running disparity. upon trans- mission of any transmission characte r, the transmitter will select the proper version of the transmission character based on the current running disparity valu e, and the transmitter shall calculate a new value for its running disparity based on the contents of the transmitted character. special character codes c1.7 and c2.7 can be used to force the transmission of a specific special character with a specific running disparity as required for some special seq uences in x3.230. after powering on, the receiver may assume either a positive or negative value for its initial running disparity. upon reception of any transmission character, the receiver shall decide whether the transmission character is valid or invalid according to the following rules and tables and shall calculate a new value for its running disparity based on the contents of the received character. the following rules for running disparity shall be used to calculate the new running-disparity value for transmission characters that have been transmitted (transmitter?s running disparity) and that have been received (receiver?s running disparity). running disparity for a transmission character shall be calcu- lated from sub-blocks, where the first six bits (abcdei) form one sub-block and the second four bits (fghj) form the other sub-block. running disparity at the beginning of the 6-bit sub-block is the running disparit y at the end of the previous transmission character. running disparity at the beginning of the 4-bit sub-block is the running disparity at the end of the 6-bit sub-block. running disparity at the end of the transmission character is the running disparity at the end of the 4-bit sub-block. [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 20 of 40 running disparity for the sub-blocks shall be calculated as follows: 1. running disparity at the end of an y sub-block is positive if the sub-block contains more ones than zeros. it is also positive at the end of the 6-bit sub-block if the 6-bit sub-block is 000111, and it is positive at the end of the 4-bit sub-block if the 4-bit sub-block is 0011. 2. running disparity at the end of an y sub-block is negative if the sub-block contains more zeros than ones. it is also negative at the end of the 6-bit sub-block if the 6-bit sub-block is 111000, and it is negative at th e end of the 4-bit sub-block if the 4-bit sub-block is 1100. 3. otherwise, running disparity at the end of the sub-block is the same as at the beginning of the sub-block. using the tables for generating transmission characters the appropriate entry in the table shall be found for the valid data byte or the special character byte for which a transmission character is to be generated (encoded). the current value of the transmitter?s running disparity shall be used to select the trans- mission character from its corresponding column. for each transmission character transmitted, a new value of the running disparity shall be calculated. this new value shall be used as the transmitter?s current running disparity for the next valid data byte or special character byte to be encoded and transmitted. ta b l e 3 shows naming notations and examples of valid transmission characters. using the tables for checking the validity of received transmission characters the column corresponding to the current value of the receiver?s running disparity shall be searched for the received transmission character. if the received transmis sion character is found in the proper column, then the transmission character is valid and the associated data byte or specia l character code is determined (decoded). if the received transmission character is not found in that column, then the transmission character is invalid. this is called a code violation. independent of the transmission character?s validity, the received transmission character shall be used to calculate a new value of running disparity. the new value shall be used as the receiver?s current running disparity for the next received transmission character. detection of a code violation does not necessarily show that th e transmission character in which the code violation was detecte d is in error. code violations may result from a prior error that altered the running disparity of the bit stream which did not resu lt in a detectable error at the transmission ch aracter in which th e error occurred. table 4 shows an example of this behavior. table 3. valid transmission characters data byte name d in or q out hex value 765 43210 d0.0 000 00000 00 d1.0 000 00001 01 d2.0 000 00010 02 . . . . . . . . d5.2 010 000101 45 . . . . . . . . d30.7 111 11110 fe d31.7 111 11111 ff table 4. code violations resulting from prior errors description rd character rd character rd character rd transmitted data character ? d21.1 ? d10.2 ? d23.5 + transmitted bit stream ? 101010 1001 ? 010101 0101 ? 111010 1010 + bit stream after error ? 101010 1011 + 010101 0101 + 111010 1010 + decoded data character ? d21.0 + d10.2 + code violation + [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 21 of 40 valid data characters (sc/d = low) data byte name bits current rd ? current rd+ hgf edcba abcdei fghj abcdei fghj d0.0 000 00000 100111 0100 011000 1011 d1.0 000 00001 011101 0100 100010 1011 d2.0 000 00010 101101 0100 010010 1011 d3.0 000 00011 110001 1011 110001 0100 d4.0 000 00100 110101 0100 001010 1011 d5.0 000 00101 101001 1011 101001 0100 d6.0 000 00110 011001 1011 011001 0100 d7.0 000 00111 111000 1011 000111 0100 d8.0 000 01000 111001 0100 000110 1011 d9.0 000 01001 100101 1011 100101 0100 d10.0 000 01010 010101 1011 010101 0100 d11.0 000 01011 110100 1011 110100 0100 d12.0 000 01100 001101 1011 001101 0100 d13.0 000 01101 101100 1011 101100 0100 d14.0 000 01110 011100 1011 011100 0100 d15.0 000 01111 010111 0100 101000 1011 d16.0 000 10000 011011 0100 100100 1011 d17.0 000 10001 100011 1011 100011 0100 d18.0 000 10010 010011 1011 010011 0100 d19.0 000 10011 110010 1011 110010 0100 d20.0 000 10100 001011 1011 001011 0100 d21.0 000 10101 101010 1011 101010 0100 d22.0 000 10110 011010 1011 011010 0100 d23.0 000 10111 111010 0100 000101 1011 d24.0 000 11000 110011 0100 001100 1011 d25.0 000 11001 100110 1011 100110 0100 d26.0 000 11010 010110 1011 010110 0100 d27.0 000 11011 110110 0100 001001 1011 d28.0 000 11100 001110 1011 001110 0100 d29.0 000 11101 101110 0100 010001 1011 d30.0 000 11110 011110 0100 100001 1011 d31.0 000 11111 101011 0100 010100 1011 [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 22 of 40 d0.1 001 00000 100111 1001 011000 1001 d1.1 001 00001 011101 1001 100010 1001 d2.1 001 00010 101101 1001 010010 1001 d3.1 001 00011 110001 1001 110001 1001 d4.1 001 00100 110101 1001 001010 1001 d5.1 001 00101 101001 1001 101001 1001 d6.1 001 00110 011001 1001 011001 1001 d7.1 001 00111 111000 1001 000111 1001 d8.1 001 01000 111001 1001 000110 1001 d9.1 001 01001 100101 1001 100101 1001 d10.1 001 01010 010101 1001 010101 1001 d11.1 001 01011 110100 1001 110100 1001 d12.1 001 01100 001101 1001 001101 1001 d13.1 001 01101 101100 1001 101100 1001 d14.1 001 01110 011100 1001 011100 1001 d15.1 001 01111 010111 1001 101000 1001 d16.1 001 10000 011011 1001 100100 1001 d17.1 001 10001 100011 1001 100011 1001 d18.1 001 10010 010011 1001 010011 1001 d19.1 001 10011 110010 1001 110010 1001 d20.1 001 10100 001011 1001 001011 1001 d21.1 001 10101 101010 1001 101010 1001 d22.1 001 10110 011010 1001 011010 1001 d23.1 001 10111 111010 1001 000101 1001 d24.1 001 11000 110011 1001 001100 1001 d25.1 001 11001 100110 1001 100110 1001 d26.1 001 11010 010110 1001 010110 1001 d27.1 001 11011 110110 1001 001001 1001 d28.1 001 11100 001110 1001 001110 1001 d29.1 001 11101 101110 1001 010001 1001 d30.1 001 11110 011110 1001 100001 1001 d31.1 001 11111 101011 1001 010100 1001 d0.2 010 00000 100111 0101 011000 0101 valid data characters (sc/d = low) (continued) data byte name bits current rd ? current rd+ hgf edcba abcdei fghj abcdei fghj [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 23 of 40 d1.2 010 00001 011101 0101 100010 0101 d2.2 010 00010 101101 0101 010010 0101 d3.2 010 00011 110001 0101 110001 0101 d4.2 010 00100 110101 0101 001010 0101 d5.2 010 00101 101001 0101 101001 0101 d6.2 010 00110 011001 0101 011001 0101 d7.2 010 00111 111000 0101 000111 0101 d8.2 010 01000 111001 0101 000110 0101 d9.2 010 01001 100101 0101 100101 0101 d10.2 010 01010 010101 0101 010101 0101 d11.2 010 01011 110100 0101 110100 0101 d12.2 010 01100 001101 0101 001101 0101 d13.2 010 01101 101100 0101 101100 0101 d14.2 010 01110 011100 0101 011100 0101 d15.2 010 01111 010111 0101 101000 0101 d16.2 010 10000 011011 0101 100100 0101 d17.2 010 10001 100011 0101 100011 0101 d18.2 010 10010 010011 0101 010011 0101 d19.2 010 10011 110010 0101 110010 0101 d20.2 010 10100 001011 0101 001011 0101 d21.2 010 10101 101010 0101 101010 0101 d22.2 010 10110 011010 0101 011010 0101 d23.2 010 10111 111010 0101 000101 0101 d24.2 010 11000 110011 0101 001100 0101 d25.2 010 11001 100110 0101 100110 0101 d26.2 010 11010 010110 0101 010110 0101 d27.2 010 11011 110110 0101 001001 0101 d28.2 010 11100 001110 0101 001110 0101 d29.2 010 11101 101110 0101 010001 0101 d30.2 010 11110 011110 0101 100001 0101 d31.2 010 11111 101011 0101 010100 0101 d0.3 011 00000 100111 0011 011000 1100 d1.3 011 00001 011101 0011 100010 1100 valid data characters (sc/d = low) (continued) data byte name bits current rd ? current rd+ hgf edcba abcdei fghj abcdei fghj [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 24 of 40 d2.3 011 00010 101101 0011 010010 1100 d3.3 011 00011 110001 1100 110001 0011 d4.3 011 00100 110101 0011 001010 1100 d5.3 011 00101 101001 1100 101001 0011 d6.3 011 00110 011001 1100 011001 0011 d7.3 011 00111 111000 1100 000111 0011 d8.3 011 01000 111001 0011 000110 1100 d9.3 011 01001 100101 1100 100101 0011 d10.3 011 01010 010101 1100 010101 0011 d11.3 011 01011 110100 1100 110100 0011 d12.3 011 01100 001101 1100 001101 0011 d13.3 011 01101 101100 1100 101100 0011 d14.3 011 01110 011100 1100 011100 0011 d15.3 011 01111 010111 0011 101000 1100 d16.3 011 10000 011011 0011 100100 1100 d17.3 011 10001 100011 1100 100011 0011 d18.3 011 10010 010011 1100 010011 0011 d19.3 011 10011 110010 1100 110010 0011 d20.3 011 10100 001011 1100 001011 0011 d21.3 011 10101 101010 1100 101010 0011 d22.3 011 10110 011010 1100 011010 0011 d23.3 011 10111 111010 0011 000101 1100 d24.3 011 11000 110011 0011 001100 1100 d25.3 011 11001 100110 1100 100110 0011 d26.3 011 11010 010110 1100 010110 0011 d27.3 011 11011 110110 0011 001001 1100 d28.3 011 11100 001110 1100 001110 0011 d29.3 011 11101 101110 0011 010001 1100 d30.3 011 11110 011110 0011 100001 1100 d31.3 011 11111 101011 0011 010100 1100 d0.4 100 00000 100111 0010 011000 1101 d1.4 100 00001 011101 0010 100010 1101 d2.4 100 00010 101101 0010 010010 1101 valid data characters (sc/d = low) (continued) data byte name bits current rd ? current rd+ hgf edcba abcdei fghj abcdei fghj [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 25 of 40 d3.4 100 00011 110001 1101 110001 0010 d4.4 100 00100 110101 0010 001010 1101 d5.4 100 00101 101001 1101 101001 0010 d6.4 100 00110 011001 1101 011001 0010 d7.4 100 00111 111000 1101 000111 0010 d8.4 100 01000 111001 0010 000110 1101 d9.4 100 01001 100101 1101 100101 0010 d10.4 100 01010 010101 1101 010101 0010 d11.4 100 01011 110100 1101 110100 0010 d12.4 100 01100 001101 1101 001101 0010 d13.4 100 01101 101100 1101 101100 0010 d14.4 100 01110 011100 1101 011100 0010 d15.4 100 01111 010111 0010 101000 1101 d16.4 100 10000 011011 0010 100100 1101 d17.4 100 10001 100011 1101 100011 0010 d18.4 100 10010 010011 1101 010011 0010 d19.4 100 10011 110010 1101 110010 0010 d20.4 100 10100 001011 1101 001011 0010 d21.4 100 10101 101010 1101 101010 0010 d22.4 100 10110 011010 1101 011010 0010 d23.4 100 10111 111010 0010 000101 1101 d24.4 100 11000 110011 0010 001100 1101 d25.4 100 11001 100110 1101 100110 0010 d26.4 100 11010 010110 1101 010110 0010 d27.4 100 11011 110110 0010 001001 1101 d28.4 100 11100 001110 1101 001110 0010 d29.4 100 11101 101110 0010 010001 1101 d30.4 100 11110 011110 0010 100001 1101 d31.4 100 11111 101011 0010 010100 1101 d0.5 101 00000 100111 1010 011000 1010 d1.5 101 00001 011101 1010 100010 1010 d2.5 101 00010 101101 1010 010010 1010 d3.5 101 00011 110001 1010 110001 1010 valid data characters (sc/d = low) (continued) data byte name bits current rd ? current rd+ hgf edcba abcdei fghj abcdei fghj [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 26 of 40 d4.5 101 00100 110101 1010 001010 1010 d5.5 101 00101 101001 1010 101001 1010 d6.5 101 00110 011001 1010 011001 1010 d7.5 101 00111 111000 1010 000111 1010 d8.5 101 01000 111001 1010 000110 1010 d9.5 101 01001 100101 1010 100101 1010 d10.5 101 01010 010101 1010 010101 1010 d11.5 101 01011 110100 1010 110100 1010 d12.5 101 01100 001101 1010 001101 1010 d13.5 101 01101 101100 1010 101100 1010 d14.5 101 01110 011100 1010 011100 1010 d15.5 101 01111 010111 1010 101000 1010 d16.5 101 10000 011011 1010 100100 1010 d17.5 101 10001 100011 1010 100011 1010 d18.5 101 10010 010011 1010 010011 1010 d19.5 101 10011 110010 1010 110010 1010 d20.5 101 10100 001011 1010 001011 1010 d21.5 101 10101 101010 1010 101010 1010 d22.5 101 10110 011010 1010 011010 1010 d23.5 101 10111 111010 1010 000101 1010 d24.5 101 11000 110011 1010 001100 1010 d25.5 101 11001 100110 1010 100110 1010 d26.5 101 11010 010110 1010 010110 1010 d27.5 101 11011 110110 1010 001001 1010 d28.5 101 11100 001110 1010 001110 1010 d29.5 101 11101 101110 1010 010001 1010 d30.5 101 11110 011110 1010 100001 1010 d31.5 101 11111 101011 1010 010100 1010 d0.6 110 00000 100111 0110 011000 0110 d1.6 110 00001 011101 0110 100010 0110 d2.6 110 00010 101101 0110 010010 0110 d3.6 110 00011 110001 0110 110001 0110 d4.6 110 00100 110101 0110 001010 0110 valid data characters (sc/d = low) (continued) data byte name bits current rd ? current rd+ hgf edcba abcdei fghj abcdei fghj [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 27 of 40 d5.6 110 00101 101001 0110 101001 0110 d6.6 110 00110 011001 0110 011001 0110 d7.6 110 00111 111000 0110 000111 0110 d8.6 110 01000 111001 0110 000110 0110 d9.6 110 01001 100101 0110 100101 0110 d10.6 110 01010 010101 0110 010101 0110 d11.6 110 01011 110100 0110 110100 0110 d12.6 110 01100 001101 0110 001101 0110 d13.6 110 01101 101100 0110 101100 0110 d14.6 110 01110 011100 0110 011100 0110 d15.6 110 01111 010111 0110 101000 0110 d16.6 110 10000 011011 0110 100100 0110 d17.6 110 10001 100011 0110 100011 0110 d18.6 110 10010 010011 0110 010011 0110 d19.6 110 10011 110010 0110 110010 0110 d20.6 110 10100 001011 0110 001011 0110 d21.6 110 10101 101010 0110 101010 0110 d22.6 110 10110 011010 0110 011010 0110 d23.6 110 10111 111010 0110 000101 0110 d24.6 110 11000 110011 0110 001100 0110 d25.6 110 11001 100110 0110 100110 0110 d26.6 110 11010 010110 0110 010110 0110 d27.6 110 11011 110110 0110 001001 0110 d28.6 110 11100 001110 0110 001110 0110 d29.6 110 11101 101110 0110 010001 0110 d30.6 110 11110 011110 0110 100001 0110 d31.6 110 11111 101011 0110 010100 0110 d0.7 111 00000 100111 0001 011000 1110 d1.7 111 00001 011101 0001 100010 1110 d2.7 111 00010 101101 0001 010010 1110 d3.7 111 00011 110001 1110 110001 0001 d4.7 111 00100 110101 0001 001010 1110 d5.7 111 00101 101001 1110 101001 0001 valid data characters (sc/d = low) (continued) data byte name bits current rd ? current rd+ hgf edcba abcdei fghj abcdei fghj [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 28 of 40 d6.7 111 00110 011001 1110 011001 0001 d7.7 111 00111 111000 1110 000111 0001 d8.7 111 01000 111001 0001 000110 1110 d9.7 111 01001 100101 1110 100101 0001 d10.7 111 01010 010101 1110 010101 0001 d11.7 111 01011 110100 1110 110100 1000 d12.7 111 01100 001101 1110 001101 0001 d13.7 111 01101 101100 1110 101100 1000 d14.7 111 01110 011100 1110 011100 1000 d15.7 111 01111 010111 0001 101000 1110 d16.7 111 10000 011011 0001 100100 1110 d17.7 111 10001 100011 0111 100011 0001 d18.7 111 10010 010011 0111 010011 0001 d19.7 111 10011 110010 1110 110010 0001 d20.7 111 10100 001011 0111 001011 0001 d21.7 111 10101 101010 1110 101010 0001 d22.7 111 10110 011010 1110 011010 0001 d23.7 111 10111 111010 0001 000101 1110 d24.7 111 11000 110011 0001 001100 1110 d25.7 111 11001 100110 1110 100110 0001 d26.7 111 11010 010110 1110 010110 0001 d27.7 111 11011 110110 0001 001001 1110 d28.7 111 11100 001110 1110 001110 0001 d29.7 111 11101 101110 0001 010001 1110 d30.7 111 11110 011110 0001 100001 1110 d31.7 111 11111 101011 0001 010100 1110 valid data characters (sc/d = low) (continued) data byte name bits current rd ? current rd+ hgf edcba abcdei fghj abcdei fghj [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 29 of 40 valid special character codes and sequences (sc/d = high) [1, 2] s.c. byte name s.c. code name bits current rd ? current rd+ hgf edcba abcdei fghj abcdei fghj k28.0 c0.0 (c00) 000 00000 001111 0100 110000 1011 k28.1 c1.0 (c01) 000 00001 001111 1001 110000 0110 k28.2 c2.0 (c02) 000 00010 001111 0101 110000 1010 k28.3 c3.0 (c03) 000 00011 001111 0011 110000 1100 k28.4 c4.0 (c04) 000 00100 001111 0010 110000 1101 k28.5 c5.0 (c05) 000 00101 001111 1010 110000 0101 k28.6 c6.0 (c06) 000 00110 001111 0110 110000 1001 k28.7 c7.0 (c07) 000 00111 001111 1000 110000 0111 k23.7 c8.0 (c08) 000 01000 111010 1000 000101 0111 k27.7 c9.0 (c09) 000 01001 110110 1000 001001 0111 k29.7 c10.0 (c0a) 000 01010 101110 1000 010001 0111 k30.7 c11.0 (c0b) 000 01011 011110 1000 100001 0111 idle c0.1 (c20) 001 00000 ? k28.5+,d21.4,d21.5,d21.5,repeat [3] r_rdy c1.1 (c21) 001 00001 ? k28.5+,d21.4,d10.2,d10.2,repeat [4] eofxx c2.1 (c22) 001 00010 ? k28.5,dn.xxx0 [5] +k28.5,dn.xxx1 [5] follows k28.1 for escon connect ? sof (rx indication only) c ? sof c7.1 (c27) 001 00111 001111 1000 110000 0111 follows k28.5 for escon passive ? sof (rx indication only) p ? sof c7.2 (c47) 010 00111 001111 1000 110000 0111 code rule violation and svs tx pattern exception c0.7 (ce0) 111 00000 100111 1000 [6] 011000 0111 [6] ? k28.5 c1.7 (ce1) 111 00001 001111 1010 [29] 001111 1010 [29] +k28.5 c2.7 (ce2) 111 00010 110000 0101 [30] 110000 0101 [30] running disparity violation pattern exception c4.7 (ce4) 111 00100 110111 0101 [31] 001000 1010 [31] notes 1. all codes not shown are reserved. 2. notation for special character byte name is consistent with fibre channel and escon naming conventions. special character cod e name is intended to describe binary information present on i/o pins. common usage for the name can either be in the form used for describing data p atterns (i.e., c0.0 through c31.7), or in hex notation (i.e., cnn where nn = the specified value between 00 and ff). 3. c0.1 = transmit negative k28.5 ( ? k28.5+) disregarding current rd when input is held for only one by te time. if held longer, transmitter begins sending the repeating transmit sequence ? k28.5+, d21.4, d21.5, d21.5, (repeat all four bytes)... defined in x3.230 as the primitive signal ?idle word.? this special cha racter input must be held for four (4) byte times or multiples of four bytes or it will be truncated by the new data. the receiver wil l never output this special character, since k28.5 is decoded as c5.0, c1.7, or c2.7 , and the subsequent bytes are decoded as data. 4. c1.1 = transmit negative k28.5 ( ? k28.5+) disregarding current rd when input is held for only one by te time. if held longer, transmitter begins sending the repeating transmit sequence ? k28.5+, d21.4, d10.2, d10.2,(repeat all four bytes)... defined in x3.230 as the primitive signal ?receiver_ready (r_rdy).? this special character input must be held for four (4) byte times or multiples of four bytes or it will be truncated by the new data . the receiver will never output this special character, since k28. 5 is decoded as c5.0, c1.7, or c2.7 and the subsequent bytes a re decoded as data. 5. c2.1 = transmit either ? k28.5+ or +k28.5 ? as determined by current rd and modify the transmission character that follows, by sett ing its least significant bit to 1 or 0. if current rd at the start of the following character is plus (+) the lsb is set to 0, and if current rd is minus ( ? ) the lsb becomes 1. this modification allows construction of x3.230 ?eof? frame delimiters wherei n the second data byte is determined by the current rd. for example, to send ?eofdt? the controller could issue the sequence c2.1 ? d21.4 ? d21.4 ? d21.4, and the hotlink transmitter will send either k28.5 ? d21.4 ? d21.4 ? d21.4 or k28.5 ? d21.5 ? d21.4 ? d21.4 based on current rd. likewise to send ?e ofdti? the controller could issue the sequence c2.1 ? d10.4 ? d21.4 ? d21.4, and the hotlink transmi tter will send either k28.5 ? d10.4 ? d21.4 ? d21.4 or k28.5 ? d10.5 ? d21.4 ? d21.4 based on current rd. the receiver will never output this special character, since k2 8.5 is decoded as c5.0, c1.7, or c2.7, and the subsequent bytes are decoded as data. 6. c0.7 = transmit a deliberate code rule vi olation. the code chosen for this function follows the normal running disparity rule s. transmission of this special character has the same effect as asserting svs = high. the receiver will only output this specia l character if the transmission character being decoded is not found in the tables. [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 30 of 40 maximum ratings exceeding maximum ratings may impair the useful life of the device. these user guidelines are not tested. storage temperature................................. ?65 c to +150 c ambient temperature with power applied ........................................... ?55 c to +125 c supply voltage to ground potential .............. ?0.5 v to +7.0 v dc input voltage .......................................... ?0.5 v to +7.0 v output current into ttl outputs (low) ....................... 30 ma output current into pecl ou tputs (high) ................. ?50 ma static discharge voltage........................................... > 4001 v (per mil-std-883, method 3015) latch up current...................................................... > 200 ma operating range range ambient temperature v cc commercial 0c to +70c 5v ? 10% industrial ?40 c to +85 c 5v ? 10% cy7b923/cy7b933 electrical characteristics over the operating range [7] parameter description test condition min max unit ttl outs, cy7b923: rp ; cy7b933: q 0 ? 7 , sc/d , rvs, rdy , ckr, so v oht output high voltage i oh = - 2 ma 2.4 v v olt output low voltage i ol = 4 ma 0.45 v i ost output short circuit current v out = 0v [8] ?15 ?90 ma ttl ins, cy7b923: d 0 ? 7 , sc/d , svs, ena , enn , ckw, foto, bisten ; cy7b933: rf, refclk, bisten v iht input high voltage commercial and industrial 2.0 v cc v industrial (ckw and foto, only) 2.2 v cc v v ilt input low voltage ?0.5 0.8 v i iht input high current v in = v cc ?10 +10 ? a i ilt input low current v in = 0.0v ?500 ? a transmitter pecl-compatible output pins: outa+, outa ? , outb+, outb ? , outc+, outc ? v ohe output high voltage (v cc referenced) load = 50 ? to v cc ? 2v commercial v cc ? 1.03 v cc ? 0.83 v industrial v cc ? 1.05 v cc ? 0.83 v v ole output low voltage (v cc referenced) load = 50 ? to v cc ? 2v commercial v cc ? 1.86 v cc ? 1.62 v industrial v cc ? 1.96 v cc ? 1.62 v v odif output differential voltage |(out+) ? (out ? )| load = 50 ? to v cc ? 2v 0.6 v receiver pecl-compatible input pins: a/b , si, inb v ihe input high voltage commercial v cc ? 1.165 v cc v industrial v cc ? 1.14 v cc v v ile input low voltage commercial 2.0 v cc ? 1.475 v industrial 2.0 v cc ? 1.50 v i ihe [9] input high current v in = v ihe max. +500 ? a i ile [9] input low current v in = v ile min. +0.5 ? a differential line receiver input pins: ina+, ina ? , inb+, inb ? v diff input differential voltage |(in+) ? (in ? )| 50 mv v ihh highest input high voltage v cc v v ill lowest input low voltage 2.0 v i ihh input high current v in = v ihh max. 750 ? a i ill [10] input low current v in = v ill min. ?200 ? a notes 7. see the last page of this specification for group a subgroup testing information. 8. tested one output at a time, output shorted for less than one second, less than 10% duty cycle. 9. applies to a/b only. 10. input currents are always positive at all voltages above v cc /2. [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 31 of 40 miscellaneous typ max unit i cct [11] transmitter power supply current freq. = max. commercial 65 85 ma industrial 75 95 ma i ccr [12] receiver power supply current freq. = max. commercial 120 155 ma industrial 135 160 ma capacitance [13] parameter description test conditions max unit c in input capacitance t a = 25 c, f 0 = 1 mhz, v cc = 5.0v 10 pf figure 9. ac test loads and waveforms notes 11. maximum i cct is measured with v cc = max., one pecl output pair loaded with 50 ohms to v cc ? 2.0v, and other pecl outputs tied to v cc . typical i cct is measured with v cc = 5.0v, t a = 25 ? c, one output pair loaded with 50 ohms to v cc ? 2.0v, others tied to v cc , bisten = low. i cct includes current into v ccq (pin 9 and pin 22) only. current into v ccn is determined by pecl load currents, typically 30 ma with 50 ohms to v cc ? 2.0v. each additional enabled pecl pair adds 5 ma to i cct and an additional load current to v ccn as described. when calculating the contribution of pecl load currents to chip power dissipation, the output load current shoul d be multiplied by 1v instead of v cc . 12. maximum i ccr is measured with v cc = max., rf = low, and outputs unloaded. typical i ccr is measured with v cc = 5.0v, t a = 25 ? c, rf = low, bisten = low, and outputs unloaded. i ccr includes current into v ccq (pins 21 and 24). current into v ccn (pin 9) is determined by the total ttl output buffer quiescent current plus the sum of all the load currents for each output pin. the total buffer quiescent current is 10ma max., and max. ttl load current for each output pin ca n be calculated as follows: where r l = equivalent load resistance, c l = capacitive load, and f pin = frequency in mhz of data on pin. a derating factor of 1.1 has been included to account for worst process corner and temperature condition. 13. tested initially and after any design or process changes that may affect these parameters, but not 100% tested. 14. cypress uses constant current (ate) load configurations and forcing functions. this fi gure is for reference only. cy7b923/cy7b933 electrical characteristics over the operating range [7] (continued) 2.0v 1.0v 3.0v gnd 2.0v 1.0v 5v output (a) ttl ac test load (b) pecl ac test load < 1ns < 1 ns 80% 20% 80% 20% < 1ns < 1 ns (c) ttl input test waveform (d) pecl input test waveform r1 r2 c l c l r l r1 = 910 ? r2 = 510 ? c l <30pf (includes fixture and probe capacitance) r l = 50 ? c l <5pf (includes fixture and probe capacitance) v ihe 3.0v v cc ? 2 v ihe v ile v ile [14] [14] i i ccn ttlpin = [ 0.95 + ( v ccn -5) * 0.3 r l + c l * [ v ccn 2 +1.5 ] * f pin ] *1.1 [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 32 of 40 transmitter switch ing characteristics over the operating range [7] parameter description 7b923-155 7b923 7b923-400 unit min max min max min max t ckw write clock cycle 62.5 66.7 30.3 62.5 25 62.5 ns t b bit time [15] 6.25 6.67 3.03 6.25 2.5 6.25 ns t cpwh ckw pulse width high 6.5 ? 6.5 ? 6.5 ? ns t cpwl ckw pulse width low 6.5 ? 6.5 ? 6.5 ? ns t sd data setup time [16] 5 ? 5 ? 5 ? ns t hd data hold time [16] 0 ? 0 ? 0 ? ns t senp enable setup time (t o insure correct rp ) [17] 6t b + 8 ? 6t b + 8 ? 6t b + 8 ? ns t henp enable hold time (t o insure correct rp ) [17] 0 ? 0 ? 0 ? ns t pdr read pulse rise alignment [18] ?4 2 ?4 2 ?4 2 ns t ppwh read pulse high [18] 4t b ?3 ? 4t b ?3 ? 4t b ?3 ? ns t pdf read pulse fall alignment [18] 6t b ?3 6t b ?3 ? 6t b ?3 ? ns t rise pecl output rise time 20 to 0% (pecl test load) [13] ? 1.2 ? 1.2 ? 1.2 ns t fall pecl output fall time 80 ? to 20% (pecl test load) [13] ? 1.2 ? 1.2 ? 1.2 ns t dj deterministic jitter (peak-peak) [13, 19] ? 35 ? 35 ? 35 ps t rj random jitter (peak-peak) [13, 20] ? 175 ? 175 ? 175 ps t rj random jitter ( ? ) [13, 20] ? 20 ? 20 ? 20 ps receiver switching characteristics over the operating range [7] parameter description 7b933-155 7b933 7b933-400 unit min max min max. min max t ckr read clock period (no serial data input), refclk as reference [21] ?1 +1 ?1 +1 ?1 +1 % t b bit time [22] 6.25 6.67 3.03 6.25 2.5 6.25 ns t cprh read clock pulse high 5t b ?3 ? 5t b ?3 ? 5t b ?3 ? ns t cprl read clock pulse low 5t b ?3 ? 5t b ?3 ? 5t b ?3 ? ns t rh rdy hold time t b ?2.5 ? t b ?2.5 ? t b ?2.5 ? ns t prf rdy pulse fall to ckr rise 5t b ?3 ? 5t b ?3 ? 5t b ?3 ? ns t prh rdy pulse width high 4t b ?3 ? 4t b ?3 ? 4t b ?3 ? ns t a data access time [23, 24] 2t b ?2 2t b +4 2t b ?2 2t b +4 2t b ?2 2t b +4 ns t roh data hold time [23, 24] t b ?2.5 ? t b ?2.5 ? t b ?2.5 ns t h data hold time from ckr rise [23, 24] 2t b ?3 ? 2t b ?3 ? 2t b ?3 ns t ckx refclk clock period referenced to ckw of transmitter [25] ?0.1 +0.1 ?0.1 +0.1 ?0.1 +0.1 % notes 15. transmitter t b is calculated as t ckw /10. the byte rate is one tenth of the bit rate. 16. data includes d 0 ? 7 , sc/d , svs, ena , enn , and bisten . t sd and t hd minimum timing assures correct data load on rising edge of ckw, but not rp function or timing. 17. t senp and t henp timing insures correct rp function and correct data load on the rising edge of ckw. 18. loading on rp is the standard ttl test load shown in part (a) of ac test loads and waveforms except c l = 15 pf. 19. while sending continuous k28.5s, rp unloaded, outputs loaded to 50 ? to v cc ? 2.0v, over the operating range. 20. while sending continuous k28.7s, after 100,000 samples measur ed at the cross point of differential outputs, time referenced to ckw input, over the operating range. 21. the period of t ckr will match the period of the transmitter ckw when the receiver is receiving serial data. when data is interrupted, ckr may dri ft to one of the range limits above. 22. receiver t b is calculated as t ckr /10 if no data is being received, or t ckw /10 if data is being received. see note. 23. data includes q 0 ? 7 , sc/d , and rvs. 24. t a , t roh , and t h specifications are only valid if all outputs (ckr, rdy , q 0 ? 7 , sc/d , and rvs) are loaded with similar dc and ac loads. 25. refclk has no phase or frequency relationship with ckr and only acts as a centering reference to reduce clock synchronizatio n time. refclk must be within 0.1% of the transmitter ckw frequency, necessitating a ? 500-ppm crystal. [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 33 of 40 t cpxh refclk clock pulse high 6.5 ? 6.5 ? 6.5 ? ns t cpxl refclk clock pulse low 6.5 ? 6.5 ? 6.5 ? ns t ds propagation delay si to so (note pecl and ttl thresholds) [26] ? 20 ? 20 ? 20 ns t sa static alignment [13, 27] ? 100 ? 100 ? 100 ps t efw error-free window [13, 28] 0.9t b ? 0.9t b ? 0.9t b ? ? receiver switching characteristics over the operating range (continued) [7] parameter description 7b933-155 7b933 7b933-400 unit min max min max. min max figure 10. switching waveforms for the cy7b923 hotlink transmitter notes 26. the pecl switching threshold is the midpoint between the pecl ? v oh , and v ol specification (approximately v cc ? 1.35v). the ttl switching threshold is 1.5v. 27. static alignment is a measure of the alignment of the receiver sampling point to the center of a bit. static alignment is me asured by sliding one bit edge in 3,000 nominal transitions until a byte error occurs. 28. error free window is a measure of the time window between bi t centers where a transition may occur without causing a bit sam pling error. efw is measured over the operating range, input jitter ?? 50% dj. ckw ena d 0 ?d 7 , sc/d , svs, bisten rp t sd t cpwl t hd t pdr valid data t cpwh t ckw t senp t sd t henp t pdf disabled enabled t ppwh notes 16,17 ckw t cpwl t cpwh t ckw enn d 0 ?d 7 , sc/d , svs, bisten t sd t hd valid data t sd t hd [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 34 of 40 figure 11. switching w0aveforms for the cy7b933 hotlink receiver ckr rdy q 0 ?q 7 , sc/d ,rvs, t cprl t cprh t ckr t prh t prf t rh t a t roh t h refclk t cpxl t cpxh t ckx si so v bb t ds 1.5v note ina ? , inb ? t b /2 ? t sa t b /2 ? t sa static alignment sample window ina ? inb ? t b t efw bit center bit center error-free window 26 [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 35 of 40 figure 12. cy7b923 transmitter data pipeline ordering information speed ordering code package name package type operating range standard cy7b923-jc j64 28-pin plcc commercial cy7b923-jxc j64 28-pin plcc (pb-free) cy7b923-jxct j64 28-pin plcc (pb-free) cy7b923-jxi j64 28-pin plcc (pb-free) industrial cy7b923-jxit j64 28-pin plcc (pb-free) cy7b923-sxc s21 28-pin soic (pb-free) commercial cy7b923-sxct s21 28-pin soic (pb-free) 400 cy7b923-400jxc j64 28-pin plcc (pb-free) CY7B923-400JXCT j64 28-pin plcc (pb-free) standard cy7b933-jc j64 28-pin plcc cy7b933-jxc j64 28-pin plcc (pb-free) cy7b933-jxct j64 28-pin plcc (pb-free) cy7b933-jxi j64 28-pin plcc (pb-free) industrial cy7b933-jxit j64 28-pin plcc (pb-free) cy7b933-sxc s21 28-pin soic (pb-free) commercial cy7b933-sxct s21 28-pin soic (pb-free) 400 cy7b933-400jxc j64 28-pin plcc (pb-free) cy7b933-400jxct j64 28-pin plcc (pb-free) notes 29. c1.7 = transmit negative k28.5 (?k28.5+) disregarding current rd. the receiver will only output this special ch aracter if k28.5 is received with the wr ong running disparity. the receiver will o utput c1.7 if ? k28.5 is received with rd+, otherwise k28.5 is decoded as c5.0 or c2.7. 30. c2.7 = transmit positive k28.5 (+k28.5?) disregarding current rd. the receiver will only output this special character if k28.5 is received with the wrong running disparity. the receiver will o utput c2.7 if +k28.5 is received with rd ? , otherwise k28.5 is decoded as c5.0 or c1.7. 31. c4.7 = transmit a deliberate code rule violat ion to indicate a running disparity violation. the receiver will only output this special character if the tr ansmission character being decoded is found in the tables, but ru nning disparity does not match. this might indicate that an error occurred in a prior byte. ckw ena d 0 ? 7 , sc/d , svs rp k28.5 k28.5 data latched in data sent data outx ? transmitter latency = 21 t b ? 10 ns data [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 36 of 40 ordering code definition package diagrams figure 13. 28-pin plastic leaded chip carrier j64 cy marketing code: 7b = hotlink transmitter/receiver 7b xxx company id: cy = cypress package type: j = plcc, jx = plcc (pb- free), sx = soic (pb-free) xx t tape and reel base part number: 923 = transmitter, 933 = receiver c/i temperature range: c = commercial, i = industrial - 51-85001 *b [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 37 of 40 figure 14. 28-pin (300-mil) molded soic s21 package diagrams 51-85026 *e [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 38 of 40 acronyms document conventions units of measure table 5. acronyms used in this document acronym description ac alternating current bist built-in self-test cdr clock/data recovery cml current mode logic dc direct current dvb digital video broadcasting ecl emitter coupled logic i/o input/output jtag joint test action group lfi link fault indicator lfsr linear feedback shift register lpen local loopback input pecl positive-ecl pll phase-locked loop ttl transistor-transistor logic vco voltage controlled oscillator symbol unit of measure c degrees celsius mhz megahertz a microamperes s microseconds mbps megabits per second ma milliamperes mm millimeters ms milliseconds mv millivolts na nanoamperes ns nanoseconds nv nanovolts ? ohms pf picofarads pp peak-to-peak ps picoseconds sps samples per second vvolts [+] feedback
cy7b923, cy7b933 document #: 38-02017 rev. *h page 39 of 40 document history page document title: cy7b933/cy7b923 hotlink ? transmitter/receiver document number: 38-02017 rev ecn no. submission date orig. of change description of change ** 105855 03/28/01 szv changed from spec number: 38-00189 to 38-02017 *a 112164 03/25/02 rev changed outa pin descripti on to improve consistency with diagram. changed ina pin description to include what to do with unused pairs of inputs. changed equation in note 6?old one made no sense. *b 114562 03/27/02 bss changed hotlink ? transmitter/receiver to hotlink ?? transmitter/receiver. *c 125525 04/01/03 oor removed all references to military parts (obsolete): cy7b923-lmb, cy7b933-lmb *d 132104 12/22/03 kkv minor change: reset valid data characters (sc/d = low) table format to single-column pages *e 393422 see ecn pcx added pb-free logo added pb-free parts to ordering information: cy7b923-400jxc, cy7b923-jxc, cy7b923-jxi, cy7b923-sxc, cy7b933-400jxc, cy7b933-jxc, cy7b933-jxi, cy7b933-sxc, cy7b933-sxi *f 2896112 03/19/10 cgx removed obsolete parts in ordering information table updated package diagrams *g 3028517 09/13/2010 fre updated template. updated 28-pin plcc package diagram. removed references to obsolete low-speed and military parts. added ordering code definition. *h 3059305 10/14/2010 saac reviewed content - no change [+] feedback
document #: 38-02017 rev. *h revised october 14, 2010 page 40 of 40 escon is a registered trademark of ibm. hotlink is a registered trademark of cypress semiconductor. all product and company nam es mentioned in this document may be the trademarks of their respective holders. cy7b923, cy7b933 ? cypress semiconductor corporation, 2001-2010. the information contained herein is subject to change without notice. cypress s emiconductor corporation assumes no responsibility for the use of any circuitry other than circuitry embodied in a cypress product. nor does it convey or imply any license under patent or other rights. cypress products are not warranted nor intended to be used for medical, life support, life saving, critical control or safety applications, unless pursuant to an express written agreement wi th cypress. furthermore, cypress does not authorize its products for use as critical components in life-support systems where a malfunction or failure may reasonably be expected to result in significant injury to the user. the inclusion of cypress products in life-support systems application implies that the manufacturer assumes all risk of such use and in doing so indemnifies cypress against all charges. any source code (software and/or firmware) is owned by cypress semiconductor corporation (cypress) and is protected by and subj ect to worldwide patent protection (united states and foreign), united states copyright laws and internatio nal treaty provisions. cypress hereby grants to licensee a personal, non-exclusive, non-transferable license to copy, use, modify, create derivative works of, and compile the cypress source code and derivative works for the sole purpose of creating custom software and or firmware in su pport of licensee product to be used only in conjunction with a cypress integrated circuit as specified in the applicable agreement. any reproduction, modification, translation, compilation, or repre sentation of this source code except as specified above is prohibited without the express written permission of cypress. disclaimer: cypress makes no warranty of any kind, express or implied, with regard to this material, including, but not limited to, the implied warranties of merchantability and fitness for a particular purpose. cypress reserves the right to make changes without further notice to t he materials described herein. cypress does not assume any liability arising out of the application or use of any product or circuit described herein. cypress does not authori ze its products for use as critical components in life-support systems where a malfunction or failure may reasonably be expected to result in significant injury to the user. the inclusion of cypress? prod uct in a life-support systems application implies that the manufacturer assumes all risk of such use and in doing so indemnifies cypress against all charges. use may be limited by and subject to the applicable cypress software license agreement. sales, solutions, and legal information worldwide sales and design support cypress maintains a worldwide network of offices, solution cent ers, manufacturers? representative s, and distributors. to find t he office closest to you, visit us at cypress locations . products automotive cypress.co m/go/automotive clocks & buffers cypress.com/go/clocks interface cypress. com/go/interface lighting & power control cypress.com/go/powerpsoc cypress.com/go/plc memory cypress.com/go/memory optical & image sensing cypress.com/go/image psoc cypress.com/go/psoc touch sensing cyp ress.com/go/touch usb controllers cypress.com/go/usb wireless/rf cypress.com/go/wireless psoc solutions psoc.cypress.com/solutions psoc 1 | psoc 3 | psoc 5 [+] feedback


▲Up To Search▲   

 
Price & Availability of CY7B923-400JXCT

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X